QUARTUS II中IP核的调用方法(图文详解)

模拟技术 时间:2013-04-26来源:网络
很多人都说QUARYUSII中的IP核是收费的,不可以直接用的,其实不然,下面我以FIR滤波器的核的使用来给大家介绍IP核的使用,希望对大家有点帮助。

  1.使用

  (1)首先建立工程,这个就不说了。然后建立个原理图文件或者.v文件

  (2)打开magevizard工具箱,如图

  打开magevizard工具箱

  (3)然后找到你想要用到的核,这里找到fir09

  找到fir09

  (4)然后再写上自己想要的文件名字写上自己想要的文件名字,然后next然后next。后出现这样的菜单栏。如下图

  出现这样的菜单栏

  然后就是按部就班的来了,设置参数设置参数啊,生成仿真文件生成仿真文件啊完成完成啊。

  (5)然后就可以在.v或原理图文件中调用了。

  (6)具体使用方法要看使用文档的。
2.文件破解(我想这个最重要了)

  (1)打开你破解软件时加入的license.dat文件,如图

  打开你破解软件时加入的license.dat文件

  可以看到里面有个,其实这个00A2就是niosII核的代号,当时我就想为什么NIOS核可以,其他的就不可以呢?我试着复制了

  试着复制

  这样一段到license.dat里面,然后把把这个里面的00A2改成我想要用的核的代号这个里面的00A2改成我想要用的核的代号,这样如下图

  改成我想要用的核的代号,这样如下图

  然后重新加载license.dat,奇迹出现了

  重新加载license.dat

  然后我陆续使用了很多,都可以,完全没有问题的。

  下面来说下怎么知道每个核的代号。点这个的第一栏

  点这个的第一栏出现下图

  出现下图

  知道了吧,呵呵。

  9.1版本的可以这样得到,以上的版本要到它的使用文档里得到,文档可以在这里下载到

  文档可以在这里下载到

  这个就不必细说了,就到这里了。希望对大家有帮助。

关键词: QUARTUS II IP核 调用方法

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章


用户评论

请文明上网,做现代文明人
验证码:
查看电脑版