英特尔代工服务获得1.8 纳米Arm芯片订单

EDA/PCB 时间:2024-02-20来源:半导体产业纵横

合同芯片设计公司智原科技 (Faraday Technology) 宣布,计划开发业界首款基于 Arm Neoverse 技术的 64 核处理器。它将由英特尔代工服务公司使用其 18A(1.8 纳米级)制造工艺制造。

智原科技表示,基于 64 个 Arm Neoverse 内核的新型片上系统将满足广泛的应用需求,包括可扩展的超大规模数据中心、基础设施边缘和先进的 5G 网络。该 SoC 还将采用来自 Arm Total Design 生态系统的各种接口 IP,但智原没有透露具体是哪些。处理器采用 PCIe、CXL 和 DDR5 技术是合乎逻辑的。

应该指出的是,智原科技未来不会自行销售该处理器,而是向客户提供其设计,客户将能够根据自己的需求进行定制。目前还不清楚智原科技是否有潜在客户,但该公司似乎对英特尔 18A 制造工艺上的 Arm Neoverse 技术充满信心。这些潜在的 CPU 将由英特尔代工服务制造,并且很可能是 IFS 制造的首批基于 Arm 的数据中心处理器之一。

到目前为止,英特尔代工服务已经获得了多个数据中心芯片订单,包括基于 Intel 3 的云数据中心芯片、为爱立信定制的服务器芯片以及为美国国防部提供基于英特尔 18A 的芯片。此外,英特尔还为亚马逊网络服务组装数据中心系统级封装。

智原科技总经理王国雍表示,做为 Arm Total Design 的设计服务合作伙伴,智原战略性地锁定最先进的技术节点,以满足未来应用的不断演进需求。我们很高兴宣布我们新的 So C 平台将发挥 Arm Neoverse 和 intel18A 的技术优势,将使我们的 ASIC 和 DIS(芯片实体设计服务)客户受惠,加快顶尖的数据中心及高效能运算应用的上市时间。

Intel 18A 技术依赖于全栅 RibbonFET 晶体管以及 PowerVia 背面供电。该制造工艺承诺每瓦性能比 英特尔 20A 提高 10%,预计特别适合数据中心应用。

英特尔资深副总裁暨晶圆代工服务 (IFS) 总经理 Stuart Pann 表示,我们很高兴与智原合作,提供最具竞争力的 18A 制程技术平台开发基于 Arm Neoverse CSS 的 SoC。我们与智原的战略合作彰显了我们在全球半导体供应链中提供技术和制造创新的承诺,有助于智原的客户满足全球最先进的 SoC 设计的功耗和性能需求。

Arm 资深副总裁暨基础设施事业部总经理 Mohamed Awad 表示,人工智能的进步和整个基础设施中资料量的激增,突显了 Neoverse CSS 的重要性,以及以类似 Arm Total Design 这样的生态系统来加速创新的必要性。我们相当兴奋看到智原和英特尔等领先企业,透过基于 Neoverse CSS 的 SoC,成为投入 Arm 客制化芯片开发的先驱,也期待这项创新在推动数据中心和高性能运算应用上的发展。

值得注意的是,英特尔代工服务与 Arm 于 2023 年 4 月宣布就基于 Intel 18A 制造技术的移动片上系统展开合作。到目前为止,该协议尚未取得成果,但事实证明,至少有一个合约芯片设计公司有兴趣利用 Arm Neoverse 和 英特尔 18A 进军数据中心市场。

英特尔 2025 年制程路线图:Intel 7、4、3、20A 和 18A 详解

英特尔去年推出了 Meteor Lake 笔记本电脑处理器和 Raptor Lake Refresh,并对该公司于 2021 年首次发布的工艺节点路线图做出了新的承诺。在该路线图中,该公司表示希望在四年中进步 5 个节点。

英特尔自己的路线图指出,其目标是在 2025 年实现「工艺领先」。按照英特尔的标准,工艺领先是每瓦的最高性能。通往最佳 CPU 的旅程是什么样的?

在上面的路线图中,英特尔已经完成了向 Intel 7 和 Intel 4 的过渡,未来几年还将推出 Intel 3、20A 和 18A。作为参考,Intel 7 是该公司对其 10nm 工艺的命名,Intel 4 是该公司对其 7nm 工艺的命名。

这些名称的来源(尽管有人可能会认为它们具有误导性)是,尽管 Intel 7 是基于 10nm 工艺构建的,但 Intel 7 的晶体管密度与台积电 7nm 非常相似。Intel 4 也是如此,WikiChip 实际上得出的结论是 Intel 4 很可能比台积电的 5nm N5 工艺密度稍高。

话虽如此,事情变得非常有趣的是 20A 和 18A。20A(该公司的 2nm 工艺)据说是英特尔将达到「工艺平价」的地方,并将与 Arrow Lake 一起首次亮相,并首次使用 PowerVia 和 RibbonFET,然后 18A 将是同时使用 PowerVia 和 RibbonFET 的 1.8nm。有关更详细的细分,请查看我在下面制作的图表。

在平面 MOSFET 时代,纳米测量更为重要,因为它们是客观测量,但转向 3D FinFET 技术已将纳米测量变成了纯粹的营销术语。

Intel 7

Intel 7 以前称为 Intel 10 增强型 SuperFin (10 ESF),该公司后来将其更名为 Intel 7,这本质上是为了与制造行业其他公司的命名约定进行重新调整。虽然有人可能会说这是一种误导,但芯片中的纳米测量在这一点上只不过是营销,而且已经存在了很多年。

Intel 7 是英特尔最后使用深紫外光刻 (DUV) 的工艺。Intel 7 用于生产 Alder Lake、Raptor Lake 以及最近发布的与 Meteor Lake 一起推出的 Raptor Lake Refresh。然而,Meteor Lake 是在 Intel 4 上生成的。

Raptor Lake Refresh 很可能是 Intel 7 的最后一款,英特尔承诺未来会转向新的工艺节点。对于 Intel 4 上的 Meteor Lake,我们不太可能看到任何在此制造节点上运行的新芯片。

Intel 4

Intel 4 是不久的将来,除非您是笔记本电脑用户,在这种情况下,它就是现在。Meteor Lake 大部分是在 Intel 4 上构建的。Meteor Lake 新 CPU 的计算机 Tile 是在 Intel 4 上制造的,但图形 Tile 是在台积电 N3 上制造的。这两个模块(以及 SoC 模块和 I/O 模块)使用英特尔的 Foveros 3D 封装技术进行集成。此过程通常称为分解,AMD 的等效过程称为小芯片。

然而,Intel 4 的一个重大变化是,它是英特尔第一个使用极紫外光刻技术的制造工艺。这样可以实现更高的产量和面积缩放,从而最大限度地提高功率效率。正如英特尔所说,与 Intel 7 相比,Intel 4 的高性能逻辑库面积扩展是 Intel 7 的两倍。这是该公司的 7 纳米工艺,这再次类似于业内其他制造厂所称的自己的能力 5 纳米和 4 纳米工艺。

到目前为止,Intel 4 看起来是成功的,而 Core Ultra 是英特尔的游戏规则改变者,至少在宏碁 Swift Go 14 中是这样。英特尔的做法将特别有趣,但我们预计英特尔在 CPU 生产方面可能不再处于不利地位。

Intel 3

Intel 3 是 Intel 4 的后续产品,但与 Intel 4 相比,每瓦性能预计提高 18%。它拥有更密集的高性能库,但目前仅针对 Sierra Forest 和 Granite Rapids 的数据中心使用。目前您不会在任何消费类 CPU 中看到这一功能。我们对这个节点了解不多,但考虑到它更多地以企业为中心,普通消费者不会太关心它。

Intel 20A

英特尔知道,在制造工艺方面,它在某种程度上落后于业界其他公司,因此它的目标是在 2024 年下半年为其 Arrow Lake 处理器提供 Intel 20A 并投入生产。这也将首次推出该公司的 PowerVia 和 RIbbonFET,其中 RibbonFET 只是全栅场效应晶体管 (GAAFET) 的另一个名称(由英特尔提供)。台积电正在将其 2nm N2 节点转向 GAAFET,而三星则将其 3nm 3GAE 工艺节点转向 GAAFET。

PowerVia 的特别之处在于它允许在整个芯片中进行背面供电,其中信号线和电源线分别解耦和优化。对于目前行业标准的前端供电来说,由于空间的原因,很可能会出现瓶颈,同时也可能会出现电源完整性和信号干扰等问题。PowerVia 将信号线和电源线分开,理论上可以实现更好的电力传输。据说该节点的每瓦性能比 Intel 3 提高了 15%。

英特尔 18A

英特尔的 18A 是迄今为止英特尔的最先进的节点,预计将于 2024 年下半年开始制造。这将用于生产未来的消费级 Lake CPU 和未来的数据中心 CPU,并增加每瓦性能高达 10%。目前还没有透露太多关于它的细节,而且它在 RibbonFET 和 PowerVia 上加倍了。我们所知道的是,Panther Lake 将在这个采用 Cougar Cove P 核的工艺节点中首次亮相。

自该节点首次亮相以来唯一发生的变化是它最初应该使用高数值孔径 EUV 光刻,但现在情况已不再如此。造成这种情况的部分原因是 Intel 18A 节点的推出时间略早于最初预期,该公司将其推迟到 2024 年末而不是 2025 年。生产 EUV 光刻机的荷兰公司 ASML 仍在出货其首款 High 光刻机。2025 年推出 NA 扫描仪(Twinscan EXE:5200),这意味着英特尔将不得不在 2024 年跳过它。对于任何 EUV,公司必须顺便转向 ASML,所以别无选择。

了解了英特尔今年和明年的路线图,可以说它绝对雄心勃勃。英特尔自己将其宣传为「四年内五个节点」,因为他们知道这有多么令人印象深刻。虽然预计这一过程中可能会出现一些问题,但自英特尔于 2021 年首次公布该计划以来的唯一变化是使 Intel 18A 提前推出。

英特尔未来是否会保留其渐进式的新增功能还有待观察,但这预示着该公司必须做出的唯一改变就是比预期更早推出最先进的节点。虽然尚不清楚英特尔在更先进的工艺方面是否会成为台积电和三星的强大竞争对手(尤其是在 RibbonFET 方面),但我们当然充满希望。Meteor Lake 是一个良好的开端,我们迫不及待地想看看英特尔还有哪些新产品。

关键词: Intel 18A

加入微信
获取电子行业最新资讯
搜索微信公众号:EEPW

或用微信扫描左侧二维码

相关文章


用户评论

请文明上网,做现代文明人
验证码:
查看电脑版